diff options
Diffstat (limited to 'data')
-rw-r--r-- | data/doc/sisu/CHANGELOG_v5 | 1 |
1 files changed, 1 insertions, 0 deletions
diff --git a/data/doc/sisu/CHANGELOG_v5 b/data/doc/sisu/CHANGELOG_v5 index 742a6e70..7a09c091 100644 --- a/data/doc/sisu/CHANGELOG_v5 +++ b/data/doc/sisu/CHANGELOG_v5 @@ -60,6 +60,7 @@ http://www.jus.uio.no/sisu/pkg/src/sisu_5.1.0.orig.tar.xz * rst * xml * docbook, book + * fictionbook * sisu.gemspec |